Оглавление:

Дизайн UART на VHDL: 5 шагов
Дизайн UART на VHDL: 5 шагов

Видео: Дизайн UART на VHDL: 5 шагов

Видео: Дизайн UART на VHDL: 5 шагов
Видео: 9. UART на ПЛИС(FPGA). Симуляция, компиляция и проверка работоспособности 2024, Июль
Anonim
Дизайн UART на VHDL
Дизайн UART на VHDL

UART означает универсальный асинхронный приемный передатчик. Это самый популярный и простой протокол последовательной связи. В этом руководстве вы узнаете, как создать модуль UART на VHDL.

Шаг 1. Что такое UART?

Для связи с различными периферийными устройствами процессоры или контроллеры обычно используют связь UART. Это простая и быстрая последовательная связь. Поскольку UART является минимальным требованием почти для всех процессоров, они обычно разрабатываются как ядра Soft IP в VHDL или Verilog для повторного использования и простоты интеграции.

Шаг 2: Технические характеристики

Технические характеристики разработанного UART приведены ниже:

* Стандартные сигналы UART.

* Настраиваемая скорость передачи от 600 до 115 200 бод.

* Выборка = 8x @receiver

* Проверенная конструкция FPGA - на плате Xilinx Artix 7.

* Протестировано на периферийных устройствах UART, Hyperterminal успешно - все скорости передачи

Шаг 3: подход к дизайну

  1. Мы будем проектировать 3 модуля, которые мы интегрируем позже, чтобы завершить UART.

    • Модуль передатчика: обеспечивает последовательную передачу данных
    • Модуль приемника: заботится о приеме последовательных данных
    • Модуль генератора скорости передачи данных: обеспечивает генерацию тактовой частоты в бодах.
  2. Модуль генератора скорости передачи динамически настраивается. Он генерирует две тактовых частоты из основных часов в соответствии с желаемой скоростью. Один для передатчика, другой для приемника.
  3. Модуль приемника использует частоту дискретизации 8x, чтобы минимизировать вероятность ошибки при приеме, т. Е. Тактовая частота приемника равна 8x тактовой частоте передатчика.
  4. Управляющие сигналы для управления передачей и приемом, а также сигнал прерывания.
  5. Стандартный последовательный интерфейс UART без бита четности, один стоповый и стартовый бит, 8 бит данных.
  6. Параллельный интерфейс для связи с хостом, т. Е. Процессором или контроллером, который передает и принимает параллельные данные к UART и от него.

Шаг 4: Результаты моделирования

Результаты симуляции
Результаты симуляции

Шаг 5: прикрепленные файлы

* Модуль передатчика UART -vhd файл

* Модуль приемника UART - vhd файл

* Модуль генератора скорости передачи - vhd файл

* Модуль UART - главный верхний модуль, объединяющий вышеперечисленные модули - файл vhd

* Полная документация по IP-ядру UART - pdf

По любым вопросам обращайтесь ко мне:

Миту Радж

следуй за мной:

По вопросам обращайтесь: [email protected]

Рекомендуемые: